Язык AHDL

         

Реализация условной логики


Операторы If Then и Case

идеально подходят для реализации условной логики. Операторы If Then

оценивают одно или несколько булевых выражений  и описывают поведение для различных значений выражения. Операторы Case являются списком альтернатив, которые доступны для каждого значения выражения. Они оценивают выражение, а затем выбирают направление действия на основе значения выражения.

Условную логику, реализуемую с помощью операторов If Then и Case, не следует путать с логикой, создаваемой условно оператором If Generate. Эта логика не обязательно является условной.



Содержание раздела